Top 4 HDL Simulators for Beginnersby@johnfpga
24,816 reads
24,816 reads

Top 4 HDL Simulators for Beginners

by John6mNovember 26th, 2021
Read on Terminal Reader
Read this story w/o Javascript
tldt arrow
EN

Too Long; Didn't Read

For those new to programming using HDLs such as VHDL and Verilog, it is important to run simulations to better understand how the language works. We take a look at four simulators - Icarus Verilog, GHDL, Vivado, and Modelsim - and discuss their strengths and weaknesses.

Company Mentioned

Mention Thumbnail
featured image - Top 4 HDL Simulators for Beginners
John HackerNoon profile picture
John

John

@johnfpga

FPGA designer and educator, owner of fpgatutorial.com

About @johnfpga
LEARN MORE ABOUT @JOHNFPGA'S
EXPERTISE AND PLACE ON THE INTERNET.

Share Your Thoughts

About Author

John HackerNoon profile picture
John@johnfpga
FPGA designer and educator, owner of fpgatutorial.com

TOPICS

Languages

THIS ARTICLE WAS FEATURED IN...

Permanent on Arweave
Read on Terminal Reader
Read this story in a terminal
 Terminal
Read this story w/o Javascript
Read this story w/o Javascript
 Lite
L O A D I N G
. . . comments & more!